[Comp Archi]Verilog

Forum van 2de Bachelor Informatica.

Moderator: Praesidium

User avatar
Fristi
WOZ
Posts: 4565

[Comp Archi]Verilog

Post#1 » Thu Jun 18, 2009 10:25 am

Loha

Is er een makkelijkere manier om in verilog een RAM/ROM te maken dan x aantal regsiters aan elkaar te schakelen?

Greets
Fristi
Fristi Ad Infinitum

WINAK WOZ 2013 - ...
WINAK Magister Fristi 2012-2013
WINAK Feest 2011-2012
WINAK Schachtentemmer 2010-2011
WINAK Scriptor 2008-2009 | 2009-2010

Pieter Belmans
Posts: 593
Contact:

Post#2 » Thu Jun 18, 2009 10:46 am

Dat is 1 regel om te declareren en 1 om uit te lezen? Please define hoe ge het nog makkelijker wilt? :)

User avatar
Fristi
WOZ
Posts: 4565

Post#3 » Thu Jun 18, 2009 11:09 am

hmmz, nog maar net aant klooien me verilog dusja :P
Fristi Ad Infinitum

WINAK WOZ 2013 - ...
WINAK Magister Fristi 2012-2013
WINAK Feest 2011-2012
WINAK Schachtentemmer 2010-2011
WINAK Scriptor 2008-2009 | 2009-2010

Return to “2de Bachelor”

Who is online

Users browsing this forum: No registered users and 7 guests

cron